QuartusII v12.1に合わせて ModelsSimも更新されました。(10.1b)
ダウンロードはこちらから。
ModelSim-Altera Starter ソフトウェア
インストール手順(Linux)
$> tar zxvf 12.1_177_modelsim_ase_linux.gz $> cd 12.1_177_modelsim_ase_linux/ $> ./setup $> cd <Install_DIR>/modelsim_ase $> ln -s linux linux_rh60
あとは環境変数を変更して実行です。
- 実行結果
vlib work vlog sample.sv Model Technology ModelSim ALTERA vlog 10.1b Compiler 2012.04 Apr 27 2012 -- Compiling package sample_sv_unit -- Compiling module testbench Top level modules: testbench vsim -c -L work testbench -do "run -all; quit" Reading /home/kocha/tools/modelsim/12.1/modelsim_ase/tcl/vsim/pref.tcl # 10.1b # vsim -L work -do {run -all; quit} -c testbench # Loading sv_std.std # Loading work.sample_sv_unit # Loading work.testbench # run -all # --- test.x is 3 # --- call get() test.x is 3 # --- test.x is 10 # --- call get() test.x is 10 # Local value y test # --- call get() test.y is 10 # ** Note: $finish : sample.sv(35) # Time: 0 ps Iteration: 0 Instance: /testbench
ちゃんと出来ているみたいです。
gcc-4.5.0の追加
本バージョンから「gcc-4.5.0」が追加されています。
SystemVerilog DPI-Cを使う際に gcc/g++のバージョン指定することができます。
-dpicppinstall <[gcc|g++] version> Specify the version of the desired GNU compiler supported and distributed by Mentor for the DPI compilation
その他の変更点はリリースノートを参照ください
参考
- 関連記事
-
- Incisive 12.2の話
- Haskell環境を整えてみた(platform)
- Ubuntu12.04にModelsSim-ASE 10.1bのインストール
- [Tools][UML] FalconML
- [Tools][UML] Enterprise Architect